paperlined.org
EE
document updated 18 years ago, on Apr 5, 2006
This is the contents of OpenCore's CDROM of cool tools.
1. Designs (on cdrom 1)
  1) 4stack : CPU
  2) Alltel
  3) Cornbread: IO board 
  4) cpcng board prject site
  5) Crypto
  6) DLX processor model
  7) f-cpu design
  8) Flapjack : IO board 
  9) FMF models and site
  10) freecore : altera cores
  11) free-ip designs and site
  12) Free-risc8: CPU Project
  13) gBios: GNU bios
  14) Khatib site and free cores
  15) LART Project
  16) Leon-II: Sparc CPU
  17) Linux in a Box project
  18) mPGA: Meta FPGA project
  19) msl16
  20) OpenBT project 
  21) OpenCores designs and site
  22) openUP: CPU 
  23) Piranha: CPU Project  
  24) risc8: CPU Project
  25) Ronja
  26) Sayuri : CPU 
  27) SOC board
  28) te16: FPGA CPU
  29) Traja: Java Processor
  30) vhdlcohen: design files 
  31) Some VHDL cores
  32) VHDL examples and tutorials
  33) wireless
  34) Wishbone SOC bus specifications
  35) xr16vx: CPU

  
2. Tools (on cdrom 2)
== Analysis
 1) altc (Arbitrary Tranmission Line Calculator)
 2) kfilter : filter design tool
 3) mpac (MicroStrip Patch Antenna Calculator)
 4) ntesla: coil calculator
 5) vipec: high frequency tool

== Design entry
  1) bitgen: converting digital bitstreams to analog voltage sources
  2) BoardStatus: 
  3) Brusey20: state diagram editor
  4) chipmunk tools
  5) circuitsimth: 
  6) dia: block diagrams and flow charts drawing tool
  7) dtools: several scripting tools
  8) edascript
  9) edif-parser
  10) Electric: compiler and simulator. full IC design system
  11) Emacs modes: VHDL, Verilog ....
  12) Gael:
  13) GDSreader: GDS files reader
  14) gEDA Tools: schematic and netlisting
  15) Grdrv for protel
  16) gtree
  17) IDaSS
  18) JARP petri net drawing tool
  19) NGpaint: Drawing tool
  20) pinout: script for drwing chip pins
  21) sarlacc: Orcad to geda convertor
  22) SimpleElib: electric library for use with XFig
  23) spp: Perl wrapper for Synopsys' shells
  24) tEDA
  25) trtab: truth table generator
  26) VGI: VHDL Graphical Interface
  27) Voyeur: design veiwer
  28) xcircuit: schematic drawing tool


== Factory tools
   1) Programmers
	a)  Eep
	b)  spiprog
	c)  srecord
   2) bdm: mpc850 BDM software and design
   3) can controller tool
   4) ColdFire: emulator
   5) e_factory
   6) emc: Enhanced Machine Controller
   7) gbi Parallel port control
   8) GPIB-Tcl: General purpose interface bus TCL extension
   9) io: IO interfacing board software
   10) JTAG utility
   11) ppc
   12) protoype


== Instruments
   1) bsosc: Bitscope software
   2) multimeter
   3) oscope
   4) scope
   5) Kdmm: DMM
   6) qtDMM : DMM
   7) ODDAS: Open Source DAS software


== Layout
   * IC
   1) Magic: IC layout tool
   2) p2m: Image to layout tool

   * board
   1) gerb2tif : gerper file to tiff convertor
   2) gerbv : gerber file viewer
   3) mucs
   4) PCB layout tool
   5) pcbca
   6) punto
   7) xelenECAD
   8) xroadmaker
   

== Pic tools
  1) xnitpic X Window Simulator for PIC
  2) pic_cc PIC compiler
  3) picprog PIC driver
  4) asm_c84
  5) gpasm
  6) gpsim
  7) npc
  8) picasm
  9) picdis
  10) picsim
  11) prog84-0.9
  12) vc
  13) Pp06: PP06 Programmer Software

== PLD
  1) JBits-Interface
  2) palstuff
  3) Vpr PPR tool 
  4) xnf-tools
  5) xstools: xess boards tools
  6) ptgnlfsr

== Simulation
  1) alice OpenVera tool
  2) cynLib verification tool
  3) danalyzer: Digital Logic Analyzer 
  4) DigiTcl: Digital simulator
  5) dinotrace:  viewing signal wave forms
  6) gmos: Semiconductor simulator
  7) gossip-sim: synchronous data flow simulation
  8) gtkwave  
  9) gwave: wave form viewer
  10) hadlop230 : simulation  for digital optoelectronic
  11) irsim: logic simulator for MOS
  12) klogic
  13) pcisim: PCI simulator
  14) pns
  15) polis
  16) ptolemy: communication and DSP simulators
  17) retro
  18) s5: simulation of programnable logic controler
  19) SIMsynch:
  20) thud:  RTL simulation environment
  21) Timver: timing verify circuits against specifications
  22) tkgate: event-driven simulator
  23) Udl/I:Unified Design Language for Integrated Circuits
  24) vstgl: Visual STG Lab 


== Spice
 1) Al's Circuit Simulator
 2) autochar "Automatic cell characterization of digital circuits.
 3) gSpice
 4) hsview: Simulation viewer
 5) macspice
 6) ng-spice : Spice  
 7) oregano: schematic capture and simulation 
 8) spice3f5 : Spice
 9) spicecad : schematic entry for the anlog simulator
 10) Spice-perl scripts
 11) spicepp  spice scripts 
 12) spiceprm spice preprocessor
 13) wave 2 hspice tool

   
== Synthesis
 1) Alliance : VHDL compiler, simulator and synthesis tool
 2) Boolean:
 3) Espresso
 4) icarus VERILOG COMPILATION SYSTEM 
 5) Udl/I:Unified Design Language for Integrated Circuits

 == Verilog
  1) dinotrace
  2) Gslondon
  3) icarus VERILOG COMPILATION SYSTEM 
  4) io    Reading files from Verilog models
  5) ivl
  6) parser
  7) pli_socket_example 
  8) smi
  9) V2k    parser, database, and elaborator.
  10) VBS    Verilog Behavioral Simulation
  11) Vcd wave veiwer
  12) ver    Structural Verilog Compiler.
  13) Verilog2C++ : verilog to C++ convertor
  14) verilog 2 vhdl
  15) Verilog Perl Package
  16) Verilog-Pli
  17) vex  
  18) vIDE Editor 
  19) vtrace
  

== VHDL
 1) Alliance : compiler, simulator and synthesis tool
 2) blowfish
 3) vhd2vl: vhdl to verilog convertor
 4) vhdl2html convertor
 5) vhdl2verilog convertor
 6) Fio package  
 7) freehdl :vhdl compiler
 8) Gslondon
 9) savant : vhdl simulator
 10) vdt : vhdl compiler
 11) VHDL++
 12) VHDL-parser :
 13) Some VHDL tools
 14) Xfuzzy : vhdl tool for fuzzy system


4. Utilities (on cdrom 2)
   1) Emacs   : editor
   2) Xemacs  : editor
   3) nEdit   : editor
   4) cgywin  : GNU tools paltform for windows
   5) WinCvs  : CVS
   6) Libraries needed by some tools
   7) tcsh: OS shell (wiondows and Unix)
  
5. Documents (on cdrom 1)
  1) VHDL-FAQ
  2) VLSI lectures
  3) CVS book